单片机c语言程序没有错误却无法执行程序编译错误: requires anec

//实例1:用单片机控制第一个灯亮
#include<reg51.h>
//包含51单片机寄存器定义的头文件
void main(void)
{
P1=0xfe;//P1=11111110B,即P1.0输出低电平
}分析:通过这短短的几行代码就可以让51单片机的P1.0引脚输出低电平,首先#include<reg51.h>这句代码是包含51单片机寄存器定义的头文件,51单片机是寄存器和IO统一编址的,所以你往相应的寄存器中写1就会在对应引脚输出高电平,写0就会输出低电平,我们知道51共32个引脚作为IO端使用,所以只需要四个寄存器(一个寄存器是8位,这取决于数据总线),打开头文件就会发现P0和0X80这个地址绑定了,所以编程的实质就是往内存中写值,又因为单片机是数字器件,所以只能读懂二进制,为什么是二进制?因为0对应低电平0V,1对应高电平5V足够了,没有第三种明确的状态。注意加粗的地方,要细品。单片机是控制器件而不是驱动器件,因为输出电流很小,不足以驱动某些需要大电流的外设。//实例2:用单片机控制一个灯闪烁:认识单片机的工作频率
#include<reg51.h>
//包含单片机寄存器的头文件
/****************************************
函数功能:延时一段时间
*****************************************/
void delay(void)
//两个void意思分别为无需返回值,没有参数传递
{
unsigned int i;
//定义无符号整数,最大取值范围65535
for(i=0;i<20000;i++)
//做20000次空循环
;
//什么也不做,等待一个机器周期
}
/*******************************************************
函数功能:主函数(C语言规定必须有也只能有1个主函数)
********************************************************/
void main(void)
{
while(1)
//无限循环
{
P1=0xfe;
//P1=11111110B,P1.0输出低电平
delay();
//延时一段时间
P1=0xff;
//P1=11111111B,P1.0输出高电平
delay();
//延时一段时间
}
}分析:写程序是自下而上的过程,而读程序是自上而下的过程,所以编写程序和读程序的流程是不同的。从零开始编写程序一般要先构思,再走一步看一步,当然我更喜欢修改代码以完成目的,所以提前准备经典实例可以大大提高编程效率。对于读代码是很常见的,准备经典案例的时候就要读懂,毕竟读懂是修改的前提,事实证明有些代码读着都费力,自己是万万写不出来的,所以在不合适的时间选择发挥主观能动性就是愚蠢的表现,你花你个月的时间未必能达到写代码的那个人的水平,但我看懂加修改用不了多久,剩下的时间我又分析的大量的案例,51的功能也进一步把握,这难道不香吗?也不说不提倡创造,而是不提倡闭门造车,创造力应该用在人类没有突破的地方,只有更多的人到达那个阶段才更有希望。每个人的人生经历都不一样,所以每个人都是一种可能,别人做不到不代表你做不到,他可能就是少了一点必要的经历,但只要可能多了,总有一个人会成功推进。读程序可以直接看主函数,看到未定义的函数和变量再往外找,事实上编程也可以这样,先做计划再找人。这个代码没什么好说的,还是在围绕着引脚玩。在我的上一篇文章中,我说编程就像做菜一样,没看过的可以先去看一下,这里的头文件就是菜库,4个IO端口只占了其中的4个菜种。//实例3:将P1口状态分别送入P0、P2、P3口:认识I/O口的引脚功能
#include<reg51.h>//包含单片机寄存器的头文件
/*******************************************************
函数功能:主函数(C语言规定必须有也只能有1个主函数)
********************************************************/
void main(void)
{
while(1)
//无限循环
{
P1=0xff;
//P1=11111111B,熄灭LED
P0=P1;
//
将P1口状态送入P0口
P2=P1;
//
将P1口状态送入P2口
P3=P1;
//
将P1口状态送入P3口
}
}
分析:内存的值只要没有代码去改变就会保持。这里关于端口的四个菜都上齐了,IO端口当然可以输入也可以输出。//实例4:使用P3口流水点亮8位LED
#include<reg51.h>
//包含单片机寄存器的头文件
/****************************************
函数功能:延时一段时间
*****************************************/
void delay(void)
{
unsigned char i,j;
for(i=0;i<250;i++)
for(j=0;j<250;j++)
;
}
/*******************************************************
函数功能:主函数
********************************************************/
void main(void)
{
while(1)
{
P3=0xfe;//第一个灯亮
delay();//调用延时函数
P3=0xfd;//第二个灯亮
delay();//调用延时函数
P3=0xfb;//第三个灯亮
delay();//调用延时函数
P3=0xf7;//第四个灯亮
delay();//调用延时函数
P3=0xef;//第五个灯亮
delay();//调用延时函数
P3=0xdf;//第六个灯亮
delay();//调用延时函数
P3=0xbf;//第七个灯亮
delay();//调用延时函数
P3=0x7f;//第八个灯亮
delay();//调用延时函数
}
}分析:注意二进制和16进制的转换就好啦,另外这里的例子都没有涉及到位操作,不要着急,下面会有的。//实例5:通过对P3口地址的操作流水点亮8位LED
#include<reg51.h>
//包含单片机寄存器的头文件
sfr x=0xb0;
//P3口在存储器中的地址是b0H,通过sfr可定义8051内核单片机
//的所有内部8位特殊功能寄存器,对地址x的操作也就是对P1口的操作
/****************************************
函数功能:延时一段时间
*****************************************/
void delay(void)
{
unsigned char i,j;
for(i=0;i<250;i++)
for(j=0;j<250;j++)
;
//利用循环等待若干机器周期,从而延时一段时间
}
/*****************************************
函数功能:主函数
******************************************/
void main(void)
{
while(1)
{
x=0xfe;
//第一个灯亮
delay();
//调用延时函数
x=0xfd;
//第二个灯亮
delay();
//调用延时函数
x=0xfb;
//第三个灯亮
delay();
//调用延时函数
x=0xf7;
//第四个灯亮
delay();
//调用延时函数
x=0xef;
//第五个灯亮
delay();
//调用延时函数
x=0xdf;
//第六个灯亮
delay();
//调用延时函数
x=0xbf;
//第七个灯亮
delay();
//调用延时函数
x=0x7f;
//第八个灯亮
delay();
//调用延时函数
}
}分析:sfr还可以在头文件之外使用哦,虽然意义不大,但是你又学到了一个操作不是吗?这里可以不用包含头文件。//实例6:用不同数据类型控制灯闪烁时间
#include<reg51.h>
//包含单片机寄存器的头文件
/******************************************************
函数功能:用整形数据延时一段时间
******************************************************/
void int_delay(void)//延时一段较长的时间
{
unsigned int m;
//定义无符号整形变量,双字节数据,值域为0~65535
for(m=0;m<36000;m++)
;
//空操作
}
/******************************************************
函数功能:用字符型数据延时一段时间
******************************************************/
7/192
void char_delay(void)//延时一段较短的时间
{
unsigned char i,j;
//定义无符号字符型变量,单字节数据,值域0~255
for(i=0;i<200;i++)
for(j=0;j<180;j++)
;
//空操作
}
/******************************************************
函数功能:主函数
******************************************************/
void main(void)
{
unsigned char i;
while(1)
{
for(i=0;i<3;i++)
{
P1=0xfe;
//P1.0口的灯点亮
int_delay();//延时一段较长的时间
P1=0xff;
//熄灭
int_delay();//延时一段较长的时间
}
for(i=0;i<3;i++)
{
P1=0xef;
//P1.4口的灯点亮
char_delay();//延时一段较短的时间
P1=0xff;
//熄灭
char_delay();//延时一段较短的时间
}
}
}分析:延时函数比较常用,由于是自定义函数,花样也很多。这里注意程序的逻辑即可,顺序+循环。//实例7:用P0口、P1口分别显示加法和减法运算结果
#include<reg51.h>
void main(void)
{
unsigned char m,n;
m=43;//即十进制数2x16+11=43
n=60;//即十进制数3x16+12=60
P1=m+n;//P1=103=01100111B,结果P1.3、P1.4、P1.7口的灯被点亮
P0=n-m;//P0=17=00010001B,结果P0.0、P0.4的灯被熄灭
}分析:十进制可以直接赋值给内存单元,单片机会自动转换为二进制。//实例8:用P0、P1口显示乘法运算结果
#include<reg51.h>//包含单片机寄存器的头文件
void main(void)
{
unsigned char m,n;
unsigned int s;
m=64;
n=71;
s=m*n;
//s=64*71=4544,需要16位二进制数表示,高8位送P1口,低8位送P0口
P1=s/256;
//高8位送P1口,P1=17=11H=00010001B,P1.0和P1.4口灭,其余亮
P0=s%256;
//低8位送P0口,P3=192=c0H=11000000B,P3.1,P3.6,P3.7口灭,其余亮
}分析:注意这里高8位和低8位的操作。//实例9:用P1、P0口显示除法运算结果
#include<reg51.h>
//包含单片机寄存器的头文件
void main(void)
{
P1=36/5;
//求整数
P0=((36%5)*10)/5;//求小数
while(1);//无限循环防止程序“跑飞”
}分析:想一想求小数的操作是固定的吗?换成37还是对的。//实例10:用自增运算控制P0口8位LED流水花样
#include<reg51.h>
//包含单片机寄存器的头文件
/******************************************************
函数功能:延时一段时间
******************************************************/
void delay(void)
{
unsigned int i;
for(i=0;i<20000;i++)
;
}
/******************************************************
函数功能 :主函数
******************************************************/
void main(void)
{
unsigned char i;
for(i=0;i<255;i++)
//注意i的值不能超过255
{
P0=i;
//将i的值送P0口
delay();//调用延时函数
}
}
分析:这个现象还是很有趣的,这里通过在IO端口的停留来考验C语言的功底,以便往下的进行。//实例11:用P0口显示逻辑"与"运算结果
#include<reg51.h>
//包含单片机寄存器的头文件
void main(void)
{
P0=(4>0)&&(9>0xab);//将逻辑运算结果送P0口
while(1)
;
//设置无限循环,防止程序“跑飞”
}分析:可以玩的有很多哈,借助这个机会复习一下C语言吧。//实例12:用P0口显示条件运算结果
#include<reg51.h>
//包含单片机寄存器的头文件
void main(void)
{
P0=(8>4)?8:4;//将条件运算结果送P0口,P0=8=00001000B
while(1)
;
//设置无限循环,防止程序“跑飞”
}
分析:三目运算//实例13:用P0口显示按位"异或"运算结果
#include<reg51.h>
//包含单片机寄存器的头文件
void main(void)
{
P0=0xa2^0x3c;//将条件运算结果送P0口,P0=8=00001000B
while(1)
;
//设置无限循环,防止程序“跑飞”
}分析:按位"异或"运算,注意结果的大小,选择可以存放的寄存器即可。//实例14:用P0显示左移运算结果
#include<reg51.h>
//包含单片机寄存器的头文件
void main(void)
{
P0=0x3b<<2;//将左移运算结果送P0口,P0=11101100B=0xec
while(1)
;
//无限循环,防止程序“跑飞”
}分析:左移运算//实例15:"万能逻辑电路"实验
#include<reg51.h>//包含单片机寄存器的头文件
sbitF=P1^4;//将F位定义为P1.4
sbitX=P1^5;//将X位定义为P1.5
sbitY=P1^6;
sbitZ=P1^7;
void main(void)
{
while(1)
{
F=((~X)&Y)|Z;//将逻辑运算结果赋给F
}
}
分析:sbit来啦//实例16:用右移运算流水点亮P1口8位LED
#include<reg51.h>
//包含单片机寄存器的头文件
/*****************************
函数功能:延时一段时间
*****************************/
void delay(void)
{
unsigned int n;
for(n=0;n<30000;n++)
;
}
/*****************************
函数功能:主函数
*****************************/
void main(void)
{
unsigned char i;
while(1)
{
P1=0xff;
delay();
for(i=0;i<8;i++)//设置循环次数为8
{
P1=P1>>1;
//每次循环P1的各二进位右移1位,高位补0
delay();
//调用延时函数
}
}
}分析:右移运算//实例17:用if语句控制P0口8位LED的流水方向
#include<reg51.h>
//包含单片机寄存器的头文件
sbit S1=P1^4;
//将S1位定义为P1.4
sbit S2=P1^5;
//将S2位定义为P1.5
/*****************************
函数功能:主函数
*****************************/
void main(void)
{
while(1)
{
if(S1==0)
//如果按键S1按下
P0=0x0f;
//P0口高四位LED点亮
if(S2==0)
//如果按键S2按下
P0=0xf0;
//P0口低四位LED点亮
}
}分析:if语句//实例18:用swtich语句的控制P0口8位LED的点亮状态
#include<reg51.h>
//包含单片机寄存器的头文件
sbit S1=P1^4;
//将S1位定义为P1.4
/*****************************
函数功能:延时一段时间
*****************************/
void delay(void)
{
unsigned int n;
for(n=0;n<10000;n++)
;
}
/*****************************
函数功能:主函数
*****************************/
void main(void)
{
unsigned char i;
i=0;//将i初始化为0
while(1)
{
if(S1==0)//如果S1键按下
delay();//延时一段时间
if(S1==0)//如果再次检测到S1键按下
i++;
//i自增1
if(i==9)
//如果i=9,重新将其置为1
i=1;
switch(i)//使用多分支选择语句
{
case1:P0=0xfe;
//第一个LED亮
break;
case2:P0=0xfd;
//第二个LED亮
break;
case3:P0=0xfb;
//第三个LED亮
break;
case4:P0=0xf7;
//第四个LED亮
break;
case5:P0=0xef;
//第五个LED亮
break;
case6:P0=0xdf;
//第六个LED亮
break;
case7:P0=0xbf;
//第七个LED亮
break;
case8:P0=0x7f;
//第八个LED亮
break;
default:
//缺省值,关闭所有LED
P0=0xff;
}
}分析:继续考查C语言//实例19:用for语句控制蜂鸣器鸣笛次数
#include<reg51.h>
//包含单片机寄存器的头文件
sbit sound=P3^7;
//将sound位定义为P3.7
/****************************************
函数功能:延时形成1600Hz音频
****************************************/
void delay1600(void)
{
unsigned char n;
for(n=0;n<100;n++)
;
}
/****************************************
函数功能:延时形成800Hz音频
****************************************/
void delay800(void)
{
unsigned char n;
for(n=0;n<200;n++)
;
}
/****************************************
函数功能:主函数
****************************************/
void main(void)
{
unsigned int i;
while(1)
{
for(i=0;i<830;i++)
{
sound=0;
//P3.7输出低电平
delay1600();
sound=1;
//P3.7输出高电平
delay1600();
}
for(i=0;i<200;i++)
{
sound=0;
//P3.7输出低电平
delay800();
sound=1;
//P3.7输出高电平
delay800();
}
}
}分析:学习重点:C语言+外设原理+单片机,单片机在更新换代,但C语言和外设是不变的。//实例20:用whille语句控制LED
#include<reg51.h>//包含单片机寄存器的头文件
/****************************************
函数功能:延时约60ms(3*100*200=60000μs)
****************************************/
void delay60ms(void)
{
unsigned char m,n;
for(m=0;m<100;m++)
for(n=0;n<200;n++)
;
}
/****************************************
函数功能:主函数
****************************************/
void main(void)
{
unsigned char i;
while(1)
//无限循环
{
i=0;
//将i初始化为0
while(i<0xff)
//当i小于0xff(255)时执行循环体
{
P0=i;
//将i送P0口显示
delay60ms();//延时
i++;
//i自增1
}
}
}分析:while循环。//实例21:用do-whiile语句控制P0口8位LED流水点亮
#include<reg51.h>
//包含单片机寄存器的头文件
/****************************************
函数功能:延时约60ms(3*100*200=60000μs)
****************************************/
void delay60ms(void)
{
unsigned char m,n;
for(m=0;m<100;m++)
for(n=0;n<200;n++)
;
}
/****************************************
函数功能:主函数
****************************************/
void main(void)
{
do
{
P0=0xfe;
//第一个LED亮
delay60ms();
P0=0xfd;
//第二个LED亮
delay60ms();
P0=0xfb;
//第三个LED亮
delay60ms();
P0=0xf7;
//第四个LED亮
delay60ms();
P0=0xef;
//第五个LED亮
delay60ms();
P0=0xdf;
//第六个LED亮
delay60ms();
delay60ms();
P0=0xbf;
//第七个LED亮
delay60ms();
P0=0x7f;
//第八个LED亮
delay60ms();
}while(1);
//无限循环,使8位LED循环流水点亮
}分析:do{} while()语句//实例22:用字符型数组控制P0口8位LED流水点亮
#include<reg51.h>
//包含单片机寄存器的头文件
/****************************************
函数功能:延时约60ms(3*100*200=60000μs)
****************************************/
void delay60ms(void)
{
unsigned char m,n;
for(m=0;m<100;m++)
for(n=0;n<200;n++)
;
}
/****************************************
函数功能:主函数
****************************************/
void main(void)
{
unsigned char i;
unsigned char codeTab[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};//定义无符号字符型数组
while(1)
{
for(i=0;i<8;i++)
{
P0=Tab[i];//依次引用数组元素,并将其送P0口显示
delay60ms();//调用延时函数
}
}
}
分析:数组//实例23:用P0口显示字符串常量
#include<reg51.h>//包含单片机寄存器的头文件
/*************************************************
函数功能:延时约150ms(3*200*250=150000μs=150ms
*************************************************/
void delay150ms(void)
{
unsigned char m,n;
for(m=0;m<200;m++)
for(n=0;n<250;n++)
;
}
/*************************************************
函数功能:主函数
*************************************************/
void main(void)
{
unsigned char str[]={"Now,Temperatureis:"};
//将字符串赋给字符型全部元素赋值
unsigned char i;
while(1)
{
i=0;//将i初始化为0,从第一个元素开始显示
while(str[i]!='0')//只要没有显示到结束标志'0'
{
P0=str[i];
//将第i个字符送到P0口显示
delay150ms();
//调用150ms延时函数
i++;
//指向下一个待显字符
}
}分析:字符相关知识点考查//实例24:用P0口显示指针运算结果
#include<reg51.h>
void main(void)
{
unsignedchar*p1,*p2;//定义无符号字符型指针变量p1,p2
unsignedchari,j;//定义无符号字符型数据
i=25;//给i赋初值25
j=15;
p1=&i;//使指针变量指向i,对指针初始化
p2=&j;//使指针变量指向j,对指针初始化
P0=*p1+*p2;//*p1+*p2相当于i+j,所以P0=25+15=40=0x28//则P0=00101000B,结果P0.3、P0.5引脚LED熄灭,
//其余点亮
while(1);
//无限循环,防止程序“跑飞”
}分析:指针//实例25:用指针数组控制P0口8位LED流水点亮
#include<reg51.h>
/*************************************************
函数功能:延时约150ms(3*200*250=150000μs=150ms
*************************************************/
void delay150ms(void)
{
unsigned char m,n;
for(m=0;m<200;m++)
for(n=0;n<250;n++)
;
}
/*************************************************
函数功能:主函数
*************************************************/
void main(void)
{
unsigned char code Tab[]={0xfe,0xfd,0xfb,0xf7,0xef,0xdf,0xbf,0x7f};
unsigned char *p[]={&Tab[0],&Tab[1],&Tab[2],&Tab[3],&Tab[4],&Tab[5],&Tab[6],&Tab[7]};
unsigned char i;
//定义无符号字符型数据
while(1)
{
for(i=0;i<8;i++)
{
P0=*p[i];
delay150ms();
}
}
}分析:指针数组,涉及面很深啊,对于C语言这么关键的知识点,它贯穿编程的始终,一两句话说不清楚,我会单独开一个系列详细讲解。//实例26:用数组的指针控制P0口8位LED流水点亮
#include<reg51.h>
/*************************************************
函数功能:延时约150ms(3*200*250=150000μs=150ms
*************************************************/
void delay150ms(void)
{
unsigned char m,n;
for(m=0;m<200;m++)
for(n=0;n<250;n++)
;
}
/*************************************************
函数功能:主函数
*************************************************/
void main(void)
{
unsigned chari;
unsigned char Tab[]={0xFF,0xFE,0xFD,0xFB,0xF7,0xEF,0xDF,0xBF,
0x7F,0xBF,0xDF,0xEF,0xF7,0xFB,0xFD,0xFE,
0xFE,0xFC,0xFB,0xF0,0xE0,0xC0,0x80,0x00,
0xE7,0xDB,0xBD,0x7E,0x3C,0x18,0x00,0x81,
0xC3,0xE7,0x7E,0xBD,0xDB,0xE7,0xBD,0xDB};
//流水灯控制码
unsigned char *p;
//定义无符号字符型指针
p=Tab;
//将数组首地址存入指针p
while(1)
{
for(i=0;i<32;i++)//共32个流水灯控制码
{
P0=*(p+i);
//*(p+i)的值等于a[i]
delay150ms();
//调用150ms延时函数
}
}
分析:数组指针//实例27:用P0、P1口显示整型函数返回值
#include<reg51.h>
/*************************************************
函数功能:计算两个无符号整数的和
*************************************************/
unsigned int sum(inta,intb)
{
unsigned int s;
s=a+b;
return(s);
}
/*************************************************
函数功能:主函数
*************************************************/
void main(void)
{
unsigned z;
z=sum(2008,2009);
P1=z/256;
//取得z的高8位
P0=z%256;
//取得z的低8位
while(1);
}分析:自定义函数//实例28:用有参函数控制P0口8位LED流水速度
#include<reg51.h>
/*************************************************
函数功能:延时一段时间
*************************************************/
void delay(unsigned char x)
{
unsigned char m,n;
for(m=0;m<x;m++)
for(n=0;n<200;n++)
;
}
/*************************************************
函数功能:主函数
*************************************************/
void main(void)
{
unsigned char i;
unsigned
char code Tab[]={0xFE,0xFD,0xFB,0xF7,0xEF,0xDF,0xBF,0x7F};//流水灯控制码
while(1)
{
//快速流水点亮LED
for(i=0;i<8;i++)//共8个流水灯控制码
{
P0=Tab[i];
delay(100);
//延时约60ms,(3*100*200=60000μs)
}
//慢速流水点亮LED
for(i=0;i<8;i++)//共8个流水灯控制码
{
P0=Tab[i];
delay(250);
//延时约150ms,(3*250*200=150000μs)
}
}
}分析:有参函数//实例29:用数组作函数参数控制流水花样
#include<reg51.h>
/*************************************************
函数功能:延时约150ms
*************************************************/
void delay(void)
{
unsigned char m,n;
for(m=0;m<200;m++)
for(n=0;n<250;n++)
;
}
/*************************************************
函数功能:流水点亮P0口8位LED
*************************************************/
void led_flow(unsignedchara[8])
{
unsigned char i;
for(i=0;i<8;i++)
{
P0=a[i];
delay();
}
}
/*************************************************
函数功能:主函数
*************************************************/
void main(void)
{
unsigned
charcodeTab[]={0xFE,0xFD,0xFB,0xF7,0xEF,0xDF,0xBF,0x7F};
//流水灯控制码
led_flow(Tab);
}分析:数组函数,看到这里我也很意外,没想到这个案例如此丰富,意外收获哈。//实例30:用指针作函数参数控制P0口8位LED流水点亮
#include<reg51.h>
/*************************************************
函数功能:延时约150ms
*************************************************/
void delay(void)
{
unsigned char m,n;
for(m=0;m<200;m++)
for(n=0;n<250;n++)
;
}
/*************************************************
函数功能:流水点亮P0口8位LED
*************************************************/
void led_flow(unsignedchar*p)//形参为无符号字符型指针
{
unsigned char i;
while(1)
{
i=0;
//将i置为0,指向数组第一个元素
while(*(p+i)!='0')//只要没有指向数组的结束标志
{
P0=*(p+i);//
取的指针所指变量(数组元素)的值,送P0口
delay();
//调用延时函数
i++;
//指向下一个数组元素
}
}
}
/*************************************************
函数功能:主函数
*************************************************/
void main(void)
{
unsigned
charcodeTab[]={0xFE,0xFD,0xFB,0xF7,0xEF,0xDF,0xBF,0x7F,
0x7F,0xBF,0xDF,0xEF,0xF7,0xFB,0xFD,0xFE,
0xFF,0xFE,0xFC,0xFB,0xF0,0xE0,0xC0,0x80,
0x00,0xE7,0xDB,0xBD,0x7E,0xFF,0xFF,0x3C,
0x18,0x0,0x81,0xC3,0xE7,0xFF,
0xFF,0x7E};
//流水灯控制码
unsigned char* pointer;
pointer=Tab;
led_flow(pointer);
while(1);
}
分析:指针函数//实例31:用函数型指针控制P1口灯花样
#include<reg51.h>
//包含51单片机寄存器定义的头文件
unsigned char code Tab[]={0xFE,0xFD,0xFB,0xF7,0xEF,0xDF,0xBF,0x7F};
//流水灯控制码,该数组被定义为全局变量
/**************************************************************
函数功能:延时约150ms
**************************************************************/
void delay(void)
{
unsigned char m,n;
for(m=0;m<200;m++)
for(n=0;n<250;n++)
;
}
/**************************************************************
函数功能:流水灯左移
**************************************************************/
void led_flow(void)
{
unsigned char i;
for(i=0;i<8;i++)
//8位控制码
{
P0=Tab[i];
delay();
}
}
/**************************************************************
函数功能:主函数
**************************************************************/
void main(void)
{
void(*p)(void);//定义函数型指针,所指函数无参数,无返回值
p=led_flow;
//将函数的入口地址赋给函数型指针p
while(1)
(*p)();//通过函数的指针p调用函数led_flow()
}分析:函数指针//实例32:用指针数组作为函数的参数显示多个字符串
#include<reg51.h>
//包含51单片机寄存器定义的头文件
unsigned char code str1[]="TemperatureistestedbyDS18B20";//C语言中,字符串
是作为字符数组来处理的
unsigned char code str2[]="Nowtemperatureis:";
//所以,字符串的名字就是
字符串的首地址
unsigned char code str3[]="TheSystermisdesignedbyZhangSan";
unsigned char code str4[]="Thedateis2008-9-30";
unsigned char *p[]={str1,str2,str3,str4};//定义p[4]为指向4个字符串的字符型指针数组
/**************************************************************
函数功能:延时约150ms
**************************************************************/
void delay(void)
{
unsigned char m,n;
for(m=0;m<200;m++)
for(n=0;n<250;n++)
;
}
/**************************************************************
函数功能:流水点亮P0口8位LED
**************************************************************/
void led_display(unsignedchar*x[])
//形参必须为指针数组
{
unsigned char i,j;
for(i=0;i<4;i++)//有4个字符串要显示
{
j=0;
//指向待显字符串的第0号元素
while(*(x[i]+j)!='0')//只要第i个字符串的第j号元素不是结束标志
{
P0=*(x[i]+j);//取得该元素值送到P0口显示
delay();
//调用延时函数
j++;
//指向下一个元素
}
}
}
/**************************************************************
函数功能:主函数
**************************************************************/
void main(void)
{
unsigned char i;
while(1)
{
for(i=0;i<4;i++)
led_display(p);//将指针数组名作实际参数传递
}
}分析:指针数组作为函数的参数,注意函数的定义和调用。//实例33:字符函数ctype.h应用举例
#include<reg51.h>
//包含51单片机寄存器定义的头文件
#include<ctype.h>
void main(void)
{
while(1)
{
P3=isalpha('_')?0xf0:0x0f;//条件运算,若'_'是英文字母,P3=0xf0
}
}分析:字符函数ctype.h头文件//实例34:内部函数intrins..h应用举例
#include<reg51.h>
//包含51单片机寄存器定义的头文件
#include<intrins.h>
//包含函数isalpha()声明的头文件
/*************************************************
函数功能:延时约150ms
*************************************************/
void delay(void)
{
unsigned char m,n;
for(m=0;m<200;m++)
for(n=0;n<250;n++)
;
}
/*************************************************
函数功能:主函数
*************************************************/
void main(void)
{
P3=0xfe;
//P3=11111110B
while(1)
{
P3=_crol_(P3,1);//将P3的二进制位循环左移1位后再赋给P3
delay();
//调用延时函数
}
}分析:100个实例果然不是说水就能水的,这知识的涵盖面远超我的想象。//实例35:标准函数stdliib.h应用举例
#include<reg51.h>
//包含51单片机寄存器定义的头文件
#include<stdlib.h>
//包含函数rand()声明的头文件
/*************************************************
函数功能:延时约150ms
*************************************************/
void delay(void)
{
unsigned char m,n;
for(m=0;m<200;m++)
for(n=0;n<250;n++)
;
}
/*************************************************
函数功能:主函数
*************************************************/
void main(void)
{
unsigned char i;
while(1)
{
for(i=0;i<10;i++)//产生10个随机数
{
P3=rand()/160;//将产生的随机数缩小160倍后送P3显示
delay();
}
}
}分析:分析写成了感悟哈哈,没办法,不能不写,不写代码会连在一起。//实例36:字符串函数striing.h应用举例
#include<reg51.h>
//包含51单片机寄存器定义的头文件
#include<string.h>
//包含函数isalpha()声明的头文件
void main(void)
{
unsigned char str1[]="Now,Thetemperatureis:";
unsigned char str2[]="Now,Thetemperatureis36Centgrade:";
unsigned char i;
i=strcmp(str1,str2);//比较两个字符串,并将结果存入i
if(i==0)//str1=str2
P3=0x00;
else
if(i<0)
//str1<str2
P3=0xf0;
else
//str1>str2
P3=0x0f;
while(1)
;
//防止程序“跑飞”
}分析:看到这里,其实不存在看不懂的情况,只是不常用,看一遍有个概念,知道头文件和C语言是真的不简单就好。//实例37:宏定义应用举例1
#include<reg51.h>//包含51单片机寄存器定义的头文件
#define F(a,b) ((a)+(a)*(b)/256+(b))//带参数的宏定义,a和b为形参
void main(void)
{
unsigned char i,j,k;
i=40;
j=30;
k=20;
P3=F(i,j+k);//i和j+k分别为实参,宏展开时,实参将替代宏定义中的形参
while(1)
;
}分析:宏定义来了哦//实例38:宏定义应用举例2
#include<AT89X51.h>
#include<ctype.h>
void main(void)
{
P3_0=0;
//将P3.0引脚置低电平,LED点亮
P3_1=0;
//将P3.0引脚置低电平,LED点亮
P3_2=0;
//将P3.0引脚置低电平,LED点亮
P3_3=0;
//将P3.0引脚置低电平,LED点亮
P3_4=1;
//将P3.4引脚置高电平,LED熄灭
P3_5=1;
//将P3.5引脚置高电平,LED熄灭
P3_6=1;
//将P3.7引脚置高电平,LED熄灭
P3_7=1;
//将P3.7引脚置高电平,LED熄灭
while(1)
;
}分析:这个操作我没见过,正确与否需要验证//实例41:宏定义应用举例3
#include<reg51.h>//包含51单片机寄存器定义的头文件
#define MAX 100//将MAX宏定义为字符串100
void main(void)
{
#ifMAX>80//如果字符串100大于80
P3=0xf0;//P3口低四位LED点亮
#else
P3=0x0f;//否则,P3口高四位LED点亮
#endif//结束本次编译
}分析:看呐~这里可以学到很多呢!

我要回帖

更多关于 c语言程序没有错误却无法执行程序 的文章

 

随机推荐