VHDL 语言设计一个分频器,当输入频率 10MHz 时,能同时输出 1.25KHz,5KHz,8KHz,3.3MHz,2.5MH

我要回帖

 

随机推荐