VHDL描述一个8位计数器的输出接口要定义成什么数据类型

我要回帖

 

随机推荐