基于verilog和vhdl的坦克游戏

tuixiangzi
说明:&&这是用VERILOG写的简单推箱子游戏,可以在spratan-3e上运行,vga上显示(It is written in simple VERILOG Sokoban game can run on spratan-3e, vga display)
文件列表:
推箱子\lab4
推箱子\lab4\.lso
推箱子\lab4\device_usage_statistics.html
推箱子\lab4\lab4.ise
推箱子\lab4\lab4.ntrc_log
推箱子\lab4\lab4.restore
推箱子\lab4\lab4_ise10migration.zip
推箱子\lab4\lab4_xdb
推箱子\lab4\lab4_xdb\cst.xbcd
推箱子\lab4\lab4_xdb\tmp
推箱子\lab4\lab4_xdb\tmp\ise
推箱子\lab4\lab4_xdb\tmp\ise.lock
推箱子\lab4\lab4_xdb\tmp\ise\version
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\Autonym
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\common
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ExpandedNetlistEngine
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\HDProject
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\HDProject\HDProject
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\HDProject\HDProject_StrTbl
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\HierarchicalDesign\__stored_object_table__
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\PnAutoRun
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\PnAutoRun\Scripts
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\PnAutoRun\Scripts\RunOnce_tcl
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\PnAutoRun\Scripts\RunOnce_tcl_StrTbl
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigatorGui
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigatorGui\GuiProjectData
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigatorGui\GuiProjectData_StrTbl
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main\dpm_project_main
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\dpm_project_main\dpm_project_main_StrTbl
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\__stored_objects__
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\__stored_objects___StrTbl
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\ProjectNavigator\__stored_object_table__
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\SrcCtrl
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\SrcCtrl\SavedOptions
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\STE
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\WebTalk
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\xreport
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Current-Module
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Current-Module_StrTbl
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Module-Data-tuixiangziab
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Module-Data-tuixiangziab_StrTbl
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Module-DataFactory-Default
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\xreport\Gc_RvReportViewer-Module-DataFactory-Default_StrTbl
推箱子\lab4\lab4_xdb\tmp\ise\__OBJSTORE__\_ProjRepoInternal_
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\Autonym
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\Autonym\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\bitgen
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\bitgen\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\common
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\common\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\cpldfit
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\cpldfit\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\dumpngdio
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\dumpngdio\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\ExpandedNetlistEngine
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\ExpandedNetlistEngine\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\fuse
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\fuse\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\HierarchicalDesign
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\HierarchicalDesign\HDProject
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\HierarchicalDesign\HDProject\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\HierarchicalDesign\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\hprep6
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\hprep6\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\idem
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\idem\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\map
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\map\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\netgen
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\netgen\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\ngc2edif
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\ngc2edif\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\ngcbuild
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\ngcbuild\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\ngdbuild
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\ngdbuild\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\par
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\par\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\ProjectNavigator
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\ProjectNavigatorGui
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\ProjectNavigatorGui\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\ProjectNavigator\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\runner
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\runner\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\SrcCtrl
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\SrcCtrl\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\STE
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\STE\bitgen
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\STE\bitgen\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\STE\map
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\STE\map\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\STE\ngdbuild
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\STE\ngdbuild\regkeys
推箱子\lab4\lab4_xdb\tmp\ise\__REGISTRY__\STE\par
近期下载者:
相关文件:小球游戏的verilog 代码_百度文库
您的浏览器Javascript被禁用,需开启后体验完整功能,
享专业文档下载特权
&赠共享文档下载特权
&10W篇文档免费专享
&每天抽奖多种福利
两大类热门资源免费畅读
续费一年阅读会员,立省24元!
小球游戏的verilog 代码
&&用verilog 代码在FPGA上烧一个小球游戏后接到电脑屏幕上就可以玩了
阅读已结束,下载本文需要
想免费下载本文?
定制HR最喜欢的简历
下载文档到电脑,同时保存到云知识,更方便管理
加入VIP
还剩14页未读,
定制HR最喜欢的简历
你可能喜欢[转载]verilog&不可综合语句
有很多同学在学Verilog语言的时候学了很多相关语法,但是不知道有哪一些语言无法综合,导致在写代码的时候感觉很迷茫,我在网上查了很多,再加上自己的经验总结了这些资料,希望能够对大家有用。
不可综合语句
(1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,
default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,
input,instantitation,module,negedge,posedge,operators,output,parameter。
(2)所有综合工具都不支持的结构:time,defparam,$finish,fork,join,initial,delays,UDP,wait。
(3)有些工具支持有些工具不支持的结构:casex,casez,wand,triand,wor,trior,real,disable,forever,arrays,memories,repeat,task,while。
建立可综合模型的原则
要保证Verilog
HDL赋值语句的可综合性,在建模时应注意以下要点:
(1)不使用initial。
(2)不使用#10。
(3)不使用循环次数不确定的循环语句,如forever、while等。
(4)不使用用户自定义原语(UDP元件)。
(5)尽量使用同步方式设计电路。
(6)除非是关键路径的设计,一般不采用调用门级元件来描述设计的方法,建议采用
行为语句来完成设计。
(7)用always过程块描述组合逻辑,应在敏感信号列表中列出所有的输入信号。
(8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器
件的全局复位端作为系统总的复位。
(9)对时序逻辑描述和建模,应尽量使用非阻塞赋值方式。对组合逻辑描述和建模,
既可以用阻塞赋值,也可以用非阻塞赋值。但在同一个过程块中,最好不要同时用阻塞赋
值和非阻塞赋值。
(10)不能在一个以上的always过程块中对同一个变量赋值。而对同一个赋值对象
不能既使用阻塞式赋值,又使用非阻塞式赋值。
(11)如果不打算把变量推导成锁存器,那么必须在if语句或case语句的所有条件
分支中都对变量明确地赋值。
(12)避免混合使用上升沿和下降沿触发的触发器。
(13)同一个变量的赋值不能受多个时钟控制,也不能受两种不同的时钟条件(或者
不同的时钟沿)控制。
(14)避免在case语句的分支项中使用x值或z值。
不可综合verilog语句
1、 initial
只能在test
bench中使用,不能综合。(我用ISE10.1综合时,有时简单的initial
也可以综合,不知道为什么)
2、 events
event在同步test bench时更有用,不能综合。
不支持real数据类型的综合。
不支持time数据类型的综合。
5、force 和release
不支持force和release的综合。
6、assign 和deassign
不支持对reg
数据类型的assign或deassign进行综合,支持对wire数据类型的
assign或deassign进行综合。
7、fork join
不可综合,可以使用非块语句达到同样的效果。
8、primitives
支持门级原语的综合,不支持非门级原语的综合。
不支持UDP 和table的综合。
10、敏感列表里同时带有posedge和negedge
如:always @(posedge clk or negedge
clk) begin...end
这个always块不可综合。
11、同一个reg变量被多个always块驱动
以#开头的延时不可综合成硬件电路延时,综合工具会忽略所有延时代码,但不会
这里的#10是用于仿真时的延时,在综合的时候综合工具会忽略它。也就是说,在
综合的时候上式等同于a=b;
13、与X、Z的比较
可能会有人喜欢在条件表达式中把数据和X(或Z)进行比较,殊不知这是不可综合
的,综合工具同样会忽略。所以要确保信号只有两个状态:0或1。
1 module synthesis_compare_xz
6 always @ (b)
8 & if ((b == 1'bz)
|| (b == 1'bx)) begin
10 & end else
14 endmodule
以上网友发言只代表其个人观点,不代表新浪网的观点或立场。豆丁微信公众号
君,已阅读到文档的结尾了呢~~
基于VERILOGHDL的小游戏设计设计,游戏,基于,小游戏,小游戏设计,反馈意见
扫扫二维码,随身浏览文档
手机或平板扫扫即可继续访问
基于VERILOGHDL的小游戏设计
举报该文档为侵权文档。
举报该文档含有违规或不良信息。
反馈该文档无法正常浏览。
举报该文档为重复文档。
推荐理由:
将文档分享至:
分享完整地址
文档地址:
粘贴到BBS或博客
flash地址:
支持嵌入FLASH地址的网站使用
html代码:
&embed src='http://www.docin.com/DocinViewer-4.swf' width='100%' height='600' type=application/x-shockwave-flash ALLOWFULLSCREEN='true' ALLOWSCRIPTACCESS='always'&&/embed&
450px*300px480px*400px650px*490px
支持嵌入HTML代码的网站使用
您的内容已经提交成功
您所提交的内容需要审核后才能发布,请您等待!
3秒自动关闭窗口>> Verilog使用VGA显示器实现推箱子游戏
Verilog使用VGA显示器实现推箱子游戏
所属分类:
下载地址:
tui_final.rar文件大小:5.53 MB
分享有礼! 》
请点击右侧的分享按钮,把本代码分享到各社交媒体。
通过您的分享链接访问Codeforge,每来2个新的IP,您将获得0.1 积分的奖励。
通过您的分享链接,每成功注册一个用户,该用户在Codeforge上所获得的每1个积分,您都将获得0.2 积分的分成奖励。
资源描述采用FPGA 来设计经典的推箱子游戏,在片上ROM 中存储游戏素材并通过驱动VGA 显示器实现游戏界面显示,玩家可通过PS2 键盘上方向键控制小人推动箱子,当所有箱子都到达目的地时游戏结束,同时在LCD 液晶屏实时显示玩家步数。该设计采用verilog作为逻辑描述语言,在Xilinx 公司的Spartan-3E 开发平台上实现了该拖箱子游戏
Sponsored links
源码文件列表
温馨提示: 点击源码文件名可预览文件内容哦 ^_^
2.69 kB24-06-15 19:00
dcm_25m_arwz.ucf728.00 B24-06-15 19:00
coregen.cgp238.00 B24-06-15 19:00
coregen.log1.04 kB07-10-15 13:34
1.22 kB24-06-15 18:54
1.25 kB24-06-15 18:55
2.74 kB24-06-15 18:54
dcm_25m.xaw3.05 kB24-06-15 18:54
dcm_25m_arwz.ucf728.00 B24-06-15 18:54
57.00 B24-06-15 18:54
1.10 kB07-10-15 13:34
7.54 kB14-10-13 02:11
8.12 kB24-06-15 19:30
pg058-blk-mem-gen.pdf6.87 MB14-10-13 02:11
logo_rom_exdes.ucf2.62 kB24-06-15 19:29
4.38 kB24-06-15 19:29
logo_rom_exdes.xdc2.59 kB24-06-15 19:29
9.93 kB24-06-15 19:29
1.03 kB24-06-15 19:29
1.01 kB24-06-15 19:29
2.62 kB24-06-15 19:29
2.52 kB24-06-15 19:29
3.07 kB24-06-15 19:29
xst.prj43.00 B24-06-15 19:29
xst.scr224.00 B24-06-15 19:29
4.42 kB24-06-15 19:29
12.70 kB24-06-15 19:29
6.06 kB24-06-15 19:29
2.45 kB24-06-15 19:29
2.91 kB24-06-15 19:29
114.00 B24-06-15 19:29
simulate_mti.do2.97 kB24-06-15 19:29
114.00 B24-06-15 19:29
2.93 kB24-06-15 19:29
2.84 kB24-06-15 19:29
ucli_commands.key69.00 B24-06-15 19:29
3.18 kB24-06-15 19:29
wave_mti.do879.00 B24-06-15 19:29
wave_ncsim.sv463.00 B24-06-15 19:29
6.92 kB24-06-15 19:29
4.38 kB24-06-15 19:29
4.12 kB24-06-15 19:29
2.45 kB24-06-15 19:29
2.82 kB24-06-15 19:29
114.00 B24-06-15 19:29
simulate_mti.do3.00 kB24-06-15 19:29
114.00 B24-06-15 19:29
3.10 kB24-06-15 19:29
2.78 kB24-06-15 19:29
ucli_commands.key69.00 B24-06-15 19:29
3.19 kB24-06-15 19:29
wave_mti.do879.00 B24-06-15 19:29
wave_ncsim.sv461.00 B24-06-15 19:29
logo_rom.asy350.00 B24-06-15 19:30
logo_rom.gise1.33 kB05-11-15 10:58
logo_rom.mif155.00 kB24-06-15 19:30
&logo_rom.ncf&0.00 B07-10-15 13:31
logo_rom.ngc21.32 kB24-06-15 19:30
logo_rom.sym1.04 kB24-06-15 19:30
5.61 kB24-06-15 19:30
logo_rom.veo4.09 kB24-06-15 19:30
logo_rom.xco3.23 kB24-06-15 19:29
logo_rom.xise4.88 kB27-06-15 14:45
1.94 kB24-06-15 19:30
10.79 kB24-06-15 19:30
summary.log564.00 B24-06-15 19:30
logo_rom.lso6.00 B24-06-15 19:29
xil_521.in4.15 kB07-10-15 13:33
pn_parser.xmsgs782.00 B24-06-15 19:00
xst.xmsgs60.00 kB24-06-15 19:30
xaw2verilog.log37.00 B24-06-15 18:54
cg.xmsgs1.57 kB07-10-15 13:34
pn_parser.xmsgs782.00 B05-11-15 10:57
top_tui.xreport20.08 kB05-11-15 10:57
tui_final.projectmgr7.42 kB05-11-15 10:58
&lc.ucf&0.00 B10-06-15 17:46
20.56 kB23-06-15 20:41
5.11 kB18-03-08 11:15
5.44 kB24-06-15 20:35
3.30 kB27-06-15 15:02
4.96 kB23-06-15 21:47
top_tui.bgn4.59 kB07-10-15 13:33
top_tui.bit277.23 kB07-10-15 13:33
top_tui.bld1.08 kB07-10-15 13:31
top_tui.cmd_log4.79 kB07-10-15 13:33
top_tui.drc192.00 B07-10-15 13:33
top_tui.lso6.00 B07-10-15 13:30
top_tui.ncd974.64 kB07-10-15 13:33
top_tui.ngc1.01 MB07-10-15 13:31
top_tui.ngd1.48 MB07-10-15 13:31
top_tui.ngr1.91 MB07-10-15 13:31
top_tui.pad12.89 kB07-10-15 13:33
top_tui.par7.77 kB07-10-15 13:33
top_tui.pcf957.00 B07-10-15 13:31
top_tui.prj280.00 B07-10-15 13:30
top_tui.ptwx16.82 kB07-10-15 13:33
&top_tui.stx&0.00 B07-10-15 13:31
top_tui.syr61.90 kB07-10-15 13:31
top_tui.twr4.00 kB07-10-15 13:33
top_tui.twx21.76 kB07-10-15 13:33
top_tui.unroutes161.00 B07-10-15 13:33
top_tui.ut393.00 B07-10-15 13:33
1.77 kB27-06-15 14:52
top_tui.xpi46.00 B07-10-15 13:33
top_tui.xst1.14 kB07-10-15 13:30
top_tui_bitgen.xwbt296.00 B07-10-15 13:33
15.67 kB05-11-15 10:57
top_tui_guide.ncd974.64 kB07-10-15 13:33
top_tui_map.map2.82 kB07-10-15 13:31
top_tui_map.mrp8.45 kB07-10-15 13:31
top_tui_map.ncd440.67 kB07-10-15 13:31
top_tui_map.ngm2.72 MB07-10-15 13:31
top_tui_map.xrpt24.49 kB07-10-15 13:31
top_tui_ngdbuild.xrpt12.13 kB07-10-15 13:31
top_tui_pad.csv12.92 kB07-10-15 13:33
61.49 kB07-10-15 13:33
top_tui_par.xrpt145.43 kB07-10-15 13:33
10.03 kB05-11-15 10:57
409.00 B07-10-15 13:33
48.56 kB07-10-15 13:33
top_tui_xst.xrpt17.01 kB07-10-15 13:31
tui_final.gise12.19 kB05-11-15 10:59
tui_final.xise35.42 kB06-09-15 23:19
uart_clock.ucf1.47 kB27-06-15 14:51
86.12 kB07-10-15 13:33
5.80 kB24-06-15 19:00
1.74 kB26-05-15 18:04
webtalk.log711.00 B07-10-15 13:33
3.00 kB07-10-15 13:31
xaw2verilog.log37.00 B24-06-15 19:00
cst.xbcd3.14 kB07-10-15 13:31
hdllib.ref696.00 B07-10-15 13:30
lcd__write__number.bin10.68 kB07-10-15 13:30
lcd__write__number__test.bin5.93 kB07-10-15 13:30
vga__640x480.bin6.67 kB07-10-15 13:30
vga__control.bin22.91 kB07-10-15 13:30
_l_c_d___driver.bin37.58 kB24-06-15 19:31
lcd__display.bin9.65 kB07-10-15 13:30
logo__rom.bin1.11 kB07-10-15 13:30
dcm__25m.bin4.79 kB07-10-15 13:30
top__tui.bin6.16 kB07-10-15 13:30
ps2scan.bin10.40 kB07-10-15 13:30
netlist.lst109.00 B07-10-15 13:31
bitgen.xmsgs367.00 B07-10-15 13:33
map.xmsgs475.00 B07-10-15 13:31
ngdbuild.xmsgs367.00 B07-10-15 13:31
par.xmsgs1.41 kB07-10-15 13:33
pn_parser.xmsgs1.94 kB05-11-15 10:57
trce.xmsgs1.68 kB07-10-15 13:33
xst.xmsgs40.55 kB07-10-15 13:31
&notopt&0.00 B24-06-15 19:00
&opt&0.00 B24-06-15 19:00
&functional&0.00 B24-06-15 19:30
&timing&0.00 B24-06-15 19:30
&_dbg&0.00 B07-10-15 13:33
&ngx&0.00 B24-06-15 19:00
&doc&0.00 B24-06-15 19:30
&example_design&0.00 B24-06-15 19:30
&implement&0.00 B24-06-15 19:30
&simulation&0.00 B24-06-15 19:30
&_cg&0.00 B07-10-15 13:33
&_xmsgs&0.00 B24-06-15 19:30
&top_tui.prj&0.00 B24-06-15 19:00
&vlg11&0.00 B27-06-15 14:44
&vlg28&0.00 B27-06-15 14:44
&vlg2B&0.00 B24-06-15 19:00
&vlg3E&0.00 B24-06-15 19:00
&vlg46&0.00 B24-06-15 19:00
&vlg50&0.00 B27-06-15 14:44
&vlg52&0.00 B24-06-15 19:00
&vlg5B&0.00 B24-06-15 19:00
&vlg68&0.00 B24-06-15 19:00
&vlg76&0.00 B24-06-15 19:00
&logo_rom&0.00 B24-06-15 19:30
&tmp&0.00 B07-10-15 13:33
&xlnx_auto_0_xdb&0.00 B24-06-15 19:30
&_xmsgs&0.00 B07-10-15 13:31
&dump.xst&0.00 B24-06-15 19:00
&projnav.tmp&0.00 B07-10-15 13:30
&work&0.00 B27-06-15 14:44
&ipcore_dir&0.00 B07-10-15 13:34
&iseconfig&0.00 B24-06-15 19:46
&xlnx_auto_0_xdb&0.00 B07-10-15 13:31
&xst&0.00 B24-06-15 19:00
&_ngo&0.00 B07-10-15 13:31
&_xmsgs&0.00 B07-10-15 13:33
&tui_final&0.00 B05-11-15 10:57
(提交有效评论获得积分)
评论内容不能少于15个字,不要超出160个字。
qq:落崖眩空推箱子游戏很好玩,想看看程序是怎么写的,
想涨涨姿势,感觉verilog语法简单,应用困难…
themachine15推箱子游戏很好玩,想看看程序是怎么写的
humphrey_miaoVerilog实现一些小的功能都学过了,想找一个综合的例子看看,现在实现这种大的工程感觉还很困难,希望有所启发
zhuhongliang推箱子游戏很好玩,想看看程序是怎么写的,
criticaljin一直想知道游戏是怎么编出来的,很赞哦
1832181真的希望上传者能把注释也写上,这样就方便大家学习了,光这样看代码,很累
wt2110推箱子游戏很好玩,想看看程序是怎么写的,
tianshitester推箱子是一个非常经典的游戏呀,碰巧这次verilog作业我做了一个打飞机的游戏,同样想参考一下这个仁兄的推箱子是怎么样的!
评价成功,多谢!
下载tui_final.rar
CodeForge积分(原CF币)全新升级,功能更强大,使用更便捷,不仅可以用来下载海量源代码马上还可兑换精美小礼品了
您的积分不足,优惠套餐快速获取 30 积分
10积分 / ¥100
30积分 / ¥200原价 ¥300 元
100积分 / ¥500原价 ¥1000 元
订单支付完成后,积分将自动加入到您的账号。以下是优惠期的人民币价格,优惠期过后将恢复美元价格。
支付宝支付宝付款
微信钱包微信付款
更多付款方式:、
您本次下载所消耗的积分将转交上传作者。
同一源码,30天内重复下载,只扣除一次积分。
鲁ICP备号-3 runtime:Elapsed:367.283ms - init:0.1;find:0.9;t:0.6;tags:11.5;related:106.1;comment:172.0; 27.69
登录 CodeForge
还没有CodeForge账号?
Switch to the English version?
^_^"呃 ...
Sorry!这位大神很神秘,未开通博客呢,请浏览一下其他的吧

我要回帖

更多关于 verilog代码示例 的文章

 

随机推荐